Перевод: со всех языков на русский

с русского на все языки

variable+output+circuit

  • 21 one-cycle multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > one-cycle multivibrator

  • 22 one-shot multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > one-shot multivibrator

  • 23 single-shot multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-shot multivibrator

  • 24 single-trip multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-trip multivibrator

  • 25 univibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > univibrator

  • 26 delay multivibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > delay multivibrator

  • 27 gated multivibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > gated multivibrator

  • 28 kipp oscillator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > kipp oscillator

  • 29 kipp relay

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > kipp relay

  • 30 mono

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > mono

  • 31 monoflop

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monoflop

  • 32 monostable

    1. с одним устойчивым состоянием
    2. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

     

    с одним устойчивым состоянием

    [Л.Г.Суменко. Англо-русский словарь по информационным технологиям. М.: ГП ЦНИИС, 2003.]

    Тематики

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable

  • 33 monostable flip-flop

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable flip-flop

  • 34 monovibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monovibrator

  • 35 one-shot

    1. одношаговый
    2. однотактный
    3. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

     

    однотактный

    [ http://www.iks-media.ru/glossary/index.html?glossid=2400324]

    Тематики

    • электросвязь, основные понятия

    EN

     

    одношаговый
    однократный


    [Л.Г.Суменко. Англо-русский словарь по информационным технологиям. М.: ГП ЦНИИС, 2003.]

    Тематики

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > one-shot

  • 36 one-shot generator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > one-shot generator

  • 37 single flip-flop oscillator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single flip-flop oscillator

  • 38 single vibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single vibrator

  • 39 single-shot flip-flop

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-shot flip-flop

  • 40 single-shot trigger

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-shot trigger

См. также в других словарях:

  • Variable-frequency drive — A variable frequency drive (VFD) is a system for controlling the rotational speed of an alternating current (AC) electric motor by controlling the frequency of the electrical power supplied to the motor.cite book | first=Sylvester J. |… …   Wikipedia

  • Variable force solenoid — A variable force solenoid (VFS) is an electro hydraulic device that controls pressure proportionally or inversely proportionally to a signal (voltage or current) obtained from the on board controller of a powertrain. A low flow VFS is used as a… …   Wikipedia

  • Variable capacitor — Rotary variable capacitor A variable capacitor (also known as a variable air condenser ) is a capacitor whose capacitance may be intentionally and repeatedly changed mechanically or electronically. Variable capacitors are often used in L/C… …   Wikipedia

  • Delay insensitive circuit — A delay insensitive circuit is a type of asynchronous circuit which performs a logic operation often within a computing processor chip. Instead of using clock signals or other global control signals, the sequencing of computation in delay… …   Wikipedia

  • integrated circuit — Electronics. a circuit of transistors, resistors, and capacitors constructed on a single semiconductor wafer or chip, in which the components are interconnected to perform a given function. Abbr.: IC Also called microcircuit. [1955 60] * * * ▪… …   Universalium

  • Bridge circuit — A bridge circuit is a type of electrical circuit in which the current in a conductor splits into two parallel paths and then recombines into a single conductor, thereby enclosing a loop. It was originally used for measurement purposes, but can… …   Wikipedia

  • Open-circuit time constant method — The open circuit time constant method is an approximate analysis technique used in electronic circuit design to determine the corner frequency of complex circuits. It also is known as the zero value time constant technique. The method provides a… …   Wikipedia

  • Electronic amplifier — A practical amplifier circuit An electronic amplifier is a device for increasing the power of a signal. It does this by taking energy from a power supply and controlling the output to match the input signal shape but with a larger amplitude. In… …   Wikipedia

  • Valve audio amplifier - technical — Circuitry and performance Characteristics of valves Valves are very high input impedance (near infinite in most circuits) and high output impedance devices. They are also high voltage / low current devices.While valves themselves are described… …   Wikipedia

  • Current-to-voltage converter — In electronics, a transimpedance amplifier is an amplifier that converts current to voltage. Its input ideally has zero impedance, and the input signal is a current. Its output may have low impedance, or in high frequency applications, may be… …   Wikipedia

  • Automixer — In professional audio, an automixer is a hardware or software device that balances multiple sound sources, usually microphones, based on each source s level, quickly and dramatically [ [http://www.rane.com/par a.html Rane Pro Audio Reference. A:… …   Wikipedia

Поделиться ссылкой на выделенное

Прямая ссылка:
Нажмите правой клавишей мыши и выберите «Копировать ссылку»