Перевод: с английского на русский

с русского на английский

trigger+a+multivibrator

  • 21 single-trip multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-trip multivibrator

  • 22 single-shot trigger circuit

    1. триггерная схема одноразового действия
    2. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

     

    триггерная схема одноразового действия
    одновибратор
    ждущий мультивибратор


    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-shot trigger circuit

  • 23 monostable trigger circuit

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable trigger circuit

  • 24 single-shot trigger

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-shot trigger

  • 25 single-trip trigger

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-trip trigger

  • 26 single-trip trigger circuit

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-trip trigger circuit

  • 27 delay multivibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > delay multivibrator

  • 28 gated multivibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > gated multivibrator

  • 29 start-stop multivibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > start-stop multivibrator

  • 30 bistable multivibrator

    1. бистабильный мультивибратор

     

    бистабильный мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

    Англо-русский словарь нормативно-технической терминологии > bistable multivibrator

  • 31 flip-flop multivibrator

    1. бистабильный мультивибратор

     

    бистабильный мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

    Англо-русский словарь нормативно-технической терминологии > flip-flop multivibrator

  • 32 bistable multivibrator

    триггер, бистабильный мультивибратор - см. flip-flop, trigger

    Англо-русский толковый словарь терминов и сокращений по ВТ, Интернету и программированию. > bistable multivibrator

  • 33 запускать мультивибратор

    Большой англо-русский и русско-английский словарь > запускать мультивибратор

  • 34 univibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > univibrator

  • 35 kipp oscillator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > kipp oscillator

  • 36 kipp relay

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > kipp relay

  • 37 latching circuit

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > latching circuit

  • 38 mono

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > mono

  • 39 monoflop

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monoflop

  • 40 monostable

    1. с одним устойчивым состоянием
    2. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

     

    с одним устойчивым состоянием

    [Л.Г.Суменко. Англо-русский словарь по информационным технологиям. М.: ГП ЦНИИС, 2003.]

    Тематики

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable

См. также в других словарях:

  • Multivibrator — A multivibrator is an electronic circuit used to implement a variety of simple two state systems such as oscillators, timers and flip flops. It is characterized by two amplifying devices (transistors, electron tubes or other devices) cross… …   Wikipedia

  • Multivibrator — Mul|ti|vi|bra|tor auch: Mul|ti|vib|ra|tor 〈[ vi ] m. 23; El.〉 in der Elektronik verwendete elektr. Schaltung zum Erzeugen von Kippschwingungen * * * Multivibrator,   elektronische Digitalschaltung, deren Ausgang stets einen von zwei möglichen… …   Universal-Lexikon

  • Schmitt trigger — In electronics, a Schmitt trigger is a comparator circuit that incorporates positive feedback.When the input is higher than a certain chosen threshold, the output is high; when the input is below another (lower) chosen threshold, the output is… …   Wikipedia

  • Flip-flop (electronics) — An SR latch, constructed from a pair of cross coupled NOR gates. Red and black mean logical 1 and 0 , respectively. In electronics, a flip flop or latch is a circuit that has two stable states and can be used to store state information. The… …   Wikipedia

  • одновибратор — моностабильный элемент одновибратор [ГОСТ 2.743 91] Одновибраторы "ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт ) формируют выходной импульс заданной длительности …   Справочник технического переводчика

  • List of 7400 series integrated circuits — The following is a list of 7400 series digital logic integrated circuits. The 7400 series originated with TTL integrated circuits made by Texas Instruments. Because of the popularity of these parts, they were second sourced by other manufacturers …   Wikipedia

  • Avalanche transistor — An Avalanche Transistor is a bipolar junction transistor designed for operation in the region of its collector current/collector to emitter voltage characteristics beyond the collector to emitter breakdown voltage, called avalanche breakdown… …   Wikipedia

  • Mono-Flop — Monostabile Kippstufe mit Bipolartransistoren Eine monostabile Kippstufe, auch Monoflop oder Univibrator genannt, ist eine elektronische oder elektromechanische Schaltung, die nur einen stabilen Zustand hat. Durch einen äußeren Impuls ( …   Deutsch Wikipedia

  • Monoflop — Monostabile Kippstufe mit Bipolartransistoren Eine monostabile Kippstufe, auch Monoflop oder Univibrator genannt, ist eine elektronische oder elektromechanische Schaltung, die nur einen stabilen Zustand hat. Durch einen äußeren Impuls ( …   Deutsch Wikipedia

  • Univibrator — Monostabile Kippstufe mit Bipolartransistoren Eine monostabile Kippstufe, auch Monoflop oder Univibrator genannt, ist eine elektronische oder elektromechanische Schaltung, die nur einen stabilen Zustand hat. Durch einen äußeren Impuls ( …   Deutsch Wikipedia

  • Kippgenerator — Ein Kippschwinger ist eine Oszillatorschaltung, in der ein Kondensator fortwährend geladen und wieder entladen wird und dadurch eine Kippschwingung erzeugt. Üblicherweise wird ein Kippschwinger aus einem Widerstand, einem Kondensator und einem… …   Deutsch Wikipedia

Поделиться ссылкой на выделенное

Прямая ссылка:
Нажмите правой клавишей мыши и выберите «Копировать ссылку»