Перевод: со всех языков на все языки

со всех языков на все языки

retriggerable+circuit

  • 21 single-trip multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-trip multivibrator

  • 22 univibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > univibrator

  • 23 delay multivibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > delay multivibrator

  • 24 gated multivibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > gated multivibrator

  • 25 kipp oscillator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > kipp oscillator

  • 26 kipp relay

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > kipp relay

  • 27 mono

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > mono

  • 28 monoflop

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monoflop

  • 29 monostable

    1. с одним устойчивым состоянием
    2. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

     

    с одним устойчивым состоянием

    [Л.Г.Суменко. Англо-русский словарь по информационным технологиям. М.: ГП ЦНИИС, 2003.]

    Тематики

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable

  • 30 monostable flip-flop

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable flip-flop

  • 31 monovibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monovibrator

  • 32 one-shot

    1. одношаговый
    2. однотактный
    3. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

     

    однотактный

    [ http://www.iks-media.ru/glossary/index.html?glossid=2400324]

    Тематики

    • электросвязь, основные понятия

    EN

     

    одношаговый
    однократный


    [Л.Г.Суменко. Англо-русский словарь по информационным технологиям. М.: ГП ЦНИИС, 2003.]

    Тематики

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > one-shot

  • 33 one-shot generator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > one-shot generator

  • 34 single flip-flop oscillator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single flip-flop oscillator

  • 35 single vibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single vibrator

  • 36 single-shot flip-flop

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-shot flip-flop

  • 37 single-shot trigger

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-shot trigger

  • 38 single-trip trigger

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-trip trigger

  • 39 start-stop multivibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > start-stop multivibrator

  • 40 мультивибратор

    1) General subject: flip flop
    2) Medicine: multivibrator
    3) Engineering: multivibrator oscillator, retriggerable multivibrator
    4) Radio: flip-flop
    5) Telecommunications: relay
    6) Information technology: flop
    7) Household appliances: flip-flop circuit
    8) Automation: (бистабильный) flip-flop, multivibration

    Универсальный русско-английский словарь > мультивибратор

См. также в других словарях:

  • Multivibrator — A multivibrator is an electronic circuit used to implement a variety of simple two state systems such as oscillators, timers and flip flops. It is characterized by two amplifying devices (transistors, electron tubes or other devices) cross… …   Wikipedia

  • одновибратор — моностабильный элемент одновибратор [ГОСТ 2.743 91] Одновибраторы "ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт ) формируют выходной импульс заданной длительности …   Справочник технического переводчика

  • List of 7400 series integrated circuits — The following is a list of 7400 series digital logic integrated circuits. The 7400 series originated with TTL integrated circuits made by Texas Instruments. Because of the popularity of these parts, they were second sourced by other manufacturers …   Wikipedia

Поделиться ссылкой на выделенное

Прямая ссылка:
Нажмите правой клавишей мыши и выберите «Копировать ссылку»