Перевод: со всех языков на русский

с русского на все языки

he+will+remain+an+example+to+us

  • 1 biased multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > biased multivibrator

  • 2 gate multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > gate multivibrator

  • 3 monostable multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable multivibrator

  • 4 one-cycle multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > one-cycle multivibrator

  • 5 one-shot multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > one-shot multivibrator

  • 6 single-shot multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-shot multivibrator

  • 7 single-trip multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > single-trip multivibrator

  • 8 univibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > univibrator

  • 9 delay multivibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > delay multivibrator

  • 10 gated multivibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > gated multivibrator

  • 11 kipp oscillator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > kipp oscillator

  • 12 kipp relay

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > kipp relay

  • 13 latching circuit

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > latching circuit

  • 14 mono

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > mono

  • 15 monoflop

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monoflop

  • 16 monostable

    1. с одним устойчивым состоянием
    2. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

     

    с одним устойчивым состоянием

    [Л.Г.Суменко. Англо-русский словарь по информационным технологиям. М.: ГП ЦНИИС, 2003.]

    Тематики

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable

  • 17 monostable circuit

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable circuit

  • 18 monostable flip-flop

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable flip-flop

  • 19 monostable trigger circuit

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable trigger circuit

  • 20 monovibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monovibrator

См. также в других словарях:

  • remain — [[t]rɪme͟ɪn[/t]] ♦ remains, remaining, remained 1) V LINK If someone or something remains in a particular state or condition, they stay in that state or condition and do not change. [V adj] The three men remained silent... [V adj] The situation… …   English dictionary

  • Example (rapper) — Infobox musical artist Name = Example Img capt = Example Landscape = Background = solo singer Birth name = Elliot John Gleave Alias = example:/XMPL Born = Birth date and age|1982|6|20|mf=y Died = Origin = Hammersmith, London, England Instrument …   Wikipedia

  • The World as Will and Representation — The title page of the expanded 1844 publication The World as Will and Representation (Die Welt als Wille und Vorstellung) is the central work of the German philosopher Arthur Schopenhauer. The first edition was published in December 1818,[1] and… …   Wikipedia

  • Indefinite leave to remain — (ILR) is an immigration status granted to a person who does not hold right of abode in the United Kingdom, but who has been admitted to the UK without any time limit on his or her stay and who is free to take up employment or study, without… …   Wikipedia

  • Neuroscience of free will — refers to recent neuroscientific investigations shedding light on the question of free will, which is a philosophical and scientific question as to whether, and in what sense, rational agents exercise control over their actions or decisions. As… …   Wikipedia

  • Whip-poor-will — Taxobox name = Whip poor will image width = 240px image caption = Adult male status = LC status system = iucn3.1 regnum = Animalia phylum = Chordata classis = Aves subclassis = Neornithes infraclassis = Neognathae unranked ordo = Cypselomorphae… …   Wikipedia

  • Civilization IV — Sid Meier s Civilization IV Developer(s) Firaxis Games Publisher(s) 2K Games …   Wikipedia

  • File system fragmentation — In computing, file system fragmentation, sometimes called file system aging, is the inability of a file system to lay out related data sequentially (contiguously), an inherent phenomenon in storage backed file systems that allow in place… …   Wikipedia

  • Defragmentation — Defrag redirects here. For other uses, see Defrag (disambiguation). Disk Defragmenter redirects here. For the Microsoft Windows utility, see Disk Defragmenter (Windows). Visualization of fragmentation and then of defragmentation In the… …   Wikipedia

  • Edwin Swales — Edwin Essery Swales VC DFC (July 3, 1915 – February 23, 1945) was a South African pilot and war hero of the Second World War. He was awarded the Distinguished Flying Cross and the Victoria Cross (posthumously). Early life and careerEdwin Essery… …   Wikipedia

  • Craps — Example of playing dice used in craps Craps is a dice game in which players place wagers on the outcome of the roll, or a series of rolls, of a pair of dice. Players may wager money against each other (street craps, also known as shooting dice or …   Wikipedia

Поделиться ссылкой на выделенное

Прямая ссылка:
Нажмите правой клавишей мыши и выберите «Копировать ссылку»