Перевод: со всех языков на все языки

со всех языков на все языки

to+remain+single

  • 81 monostable multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable multivibrator

  • 82 one-cycle multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > one-cycle multivibrator

  • 83 one-shot multivibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > one-shot multivibrator

  • 84 univibrator

    1. одновибратор
    2. ждущий мультивибратор

     

    ждущий мультивибратор

    [Я.Н.Лугинский, М.С.Фези-Жилинская, Ю.С.Кабиров. Англо-русский словарь по электротехнике и электроэнергетике, Москва, 1999 г.]

    Тематики

    • электротехника, основные понятия

    EN

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > univibrator

  • 85 nubile

    donna single, unmarried
    * * *
    nubile agg. unmarried, single: stato nubile, unmarried state; rimanere nubile, to remain unmarried (o single)
    s.f. unmarried woman, single woman; (dir.) ( su documenti) spinster.
    * * *
    ['nubile]
    1. agg
    (donna) unmarried, single
    2. sf
    single o unmarried woman
    * * *
    ['nubile] 1.
    aggettivo [ donna] single, unmarried
    2.
    sostantivo femminile single woman*; dir. spinster
    * * *
    nubile
    /'nubile/
     [ donna] single, unmarried
    II sostantivo f.
     single woman*; dir. spinster.

    Dizionario Italiano-Inglese > nubile

  • 86 delay multivibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > delay multivibrator

  • 87 gated multivibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > gated multivibrator

  • 88 kipp oscillator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > kipp oscillator

  • 89 kipp relay

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > kipp relay

  • 90 latching circuit

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > latching circuit

  • 91 mono

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > mono

  • 92 monoflop

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monoflop

  • 93 monostable

    1. с одним устойчивым состоянием
    2. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

     

    с одним устойчивым состоянием

    [Л.Г.Суменко. Англо-русский словарь по информационным технологиям. М.: ГП ЦНИИС, 2003.]

    Тематики

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable

  • 94 monostable circuit

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable circuit

  • 95 monostable flip-flop

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable flip-flop

  • 96 monostable trigger circuit

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monostable trigger circuit

  • 97 monovibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > monovibrator

  • 98 one-shot

    1. одношаговый
    2. однотактный
    3. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

     

    однотактный

    [ http://www.iks-media.ru/glossary/index.html?glossid=2400324]

    Тематики

    • электросвязь, основные понятия

    EN

     

    одношаговый
    однократный


    [Л.Г.Суменко. Англо-русский словарь по информационным технологиям. М.: ГП ЦНИИС, 2003.]

    Тематики

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > one-shot

  • 99 one-shot generator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > one-shot generator

  • 100 start-stop multivibrator

    1. одновибратор

     

    моностабильный элемент
    одновибратор

    -
    [ГОСТ 2.743-91]

    Одновибраторы -"ждущие мультивибраторы" представляют собой микросхемы, которые в ответ на входной сигнал (логический уровень или фронт) формируют выходной импульс заданной длительности.
    Длительность определяется внешними времязадающими резисторами и конденсаторами.
    То есть можно считать, что у одновибраторов есть внутренняя память, но эта память хранит информацию о входном сигнале строго заданное время, а потом информация исчезает.

    В стандартные серии микросхем входят одновибраторы двух основных типов:
    - одновибраторы без перезапуска;
    - одновибраторы с перезапуском

    Одновибратор без перезапуска не реагирует на входной сигнал до окончания своего выходного импульса.
    Одновибратор с перезапуском начинает отсчет нового времени выдержки Т с каждым новым входным сигналом независимо от того, закончилось ли предыдущее время выдержки.
    В случае, когда период следования входных сигналов меньше времени выдержки Т, выходной импульс одновибратора сперезапуском не прерывается.
    Если период следования входных запускающих импульсов больше времени выдержки одновибратора Т, то оба типа одновибраторов работают одинаково.

    5451
    Одновибратор без перезапуска
     

    5452

    Одновибратор с перезапуском

    [Ю.В. Новиков. Введение в цифровую схемотехнику]


    Параллельные тексты EN-RU

    5409

    Monostable flip-flop
    The output variable will be 1 only if the input variable changes to 1.
    The output variable will remain 1 for 100 ms, regardless of the duration of the input value 1 (non-retriggerable).
    Without a 1 in the function block, the monostable flip-flop is retriggerable.
    The time is 100 ms in this example, but it may be changed to any other duration.

    [Schneider Electric]

    Одновибратор
    Значение переменной на выходе равно 1, если входная переменная становится равной 1.
    Выходная переменная сохраняет значение 1 в течение 100 мс независимо от времени, в течение которого входная переменная продолжает оставаться равной 1 (без выполнения повторного запуска элемента).
    Если в обозначении функции элемента не стоит "1", то это одновибратор с перезапуском.
    В данном примере время выходного импульса составляет 100 мс, но его можно изменить на любое другое.

    [Перевод Интент]

    Тематики

    • Булева алгебра, элементы цифровой техники

    Синонимы

    EN

    Англо-русский словарь нормативно-технической терминологии > start-stop multivibrator

См. также в других словарях:

  • Remain in Light — Studio album by …   Wikipedia

  • Remain in Light — Album par Talking Heads Sortie Octobre 1980 Enregistrement aux Compass Point Studios à Nassau et au Sigma Sound Studios, New York Durée 39:48 Genre …   Wikipédia en Français

  • Single-payer health care — is an American term describing the payment for doctors, hospitals and other providers of health care from a single fund. The Canadian health care system, the British National Health Service, Australia s Medicare, and Medicare in the U.S. for the… …   Wikipedia

  • Remain in Light — Studioalbum von Talking Heads Veröffentlichung 8. Oktober 1980 Label Sire …   Deutsch Wikipedia

  • Single malt Scotch — is a type of single malt whisky, distilled by a single distillery in a pot still, using malted barley as the only grain ingredient in Scotland. As with any Scotch whisky, a Single Malt Scotch must be distilled in Scotland and matured in oak casks …   Wikipedia

  • Single-molecule magnet — Single molecule magnets or SMMs are a class of metalorganic compounds, that show superparamagnetic behavior below a certain blocking temperature at the molecular scale. In this temperature range, SMMs exhibit magnetic hysteresis of purely… …   Wikipedia

  • Single Tranche CDO — is a natural extension of full capital structure Synthetic CDO deals. These are bespoke transactions where the bank and the investor work closely to achieve a specific target. In a bespoke transaction, the investor has 100% say on the rating of… …   Wikipedia

  • Remain In Light — Talking Heads – Remain in Light Veröffentlichung 8. Oktober 1980 Label Sire Format(e) CD, LP Genre(s) Post Punk, New Wave, Art Punk Anz …   Deutsch Wikipedia

  • Single Convention on Narcotic Drugs — Infobox Treaty name = Single Convention on Narcotic Drugs long name = image width = 200px caption = Governments of opium producing Parties are required to purchase and take physical possession of such crops as soon as possible after harvest to… …   Wikipedia

  • Single-serving visitor pattern — In computer programming, the single serving visitor pattern is a design pattern. Its intent is to optimise the implementation of a visitor that is allocated, used only once, and then deleted (which is the case of most visitors). Applicability The …   Wikipedia

  • Single-elimination tournament — Example of a single elimination tournament bracket A single elimination tournament, also called a knockout, cup or sudden death tournament, is a type of elimination tournament where the loser of each match or bracket is immediately eliminated… …   Wikipedia

Поделиться ссылкой на выделенное

Прямая ссылка:
Нажмите правой клавишей мыши и выберите «Копировать ссылку»